tailieunhanh - Bài giảng Thiết kế logic số (VLSI Design): Chương II/2.2

Bài giảng Thiết kế logic số (VLSI Design) - Chương II: Ngôn ngữ VHDL (phần ) trình bày về kiến trúc kiểu cấu trúc, mô phỏng trên ModelSim, đối tượng dữ liệu và kiểu dữ liệu. Đây là tài liệu tham khảo hữu ích cho bạn đọc học tập và nghiên cứu môn học Kỹ thuật xung số. Chúc bạn học tốt. | Thiết kế logic số (VLSI design) Bộ môn KT Xung, số, VXL quangkien82@ 08/2012 Nội dung: Kiến trúc kiểu cấu trúc, Mô phỏng trên ModelSim, Đối tượng dữ liệu, kiểu dữ liệu Thời lượng: 3 tiết bài giảng 2/15 Chương II: Ngôn ngữ VHDL quangkien82@ Mục đích, nội dung 3/15 Chương II: Ngôn ngữ VHDL quangkien82@ Adder 4 bit Một thực thể có bao nhiêu kiến trúc ? Kiến trúc nào được biên dịch vào thư viện Work? Kiến trúc nào sẽ được mô phỏng bằng lệnh Vsim? 4/15 Chương II: Ngôn ngữ VHDL quangkien82@ Configuration ADDER4 = 4 Full_adder Behavioral Dataflow configuration behav of adder4 is for structure -- one of architecture for all: full_adder use entity (behavioral); end for; end for; end configuration; -- select architecture for u0: full_adder use entity (behavioral); for u1: full_adder use entity (behavioral); for u2: full_adder use entity . | Thiết kế logic số (VLSI design) Bộ môn KT Xung, số, VXL quangkien82@ 08/2012 Nội dung: Kiến trúc kiểu cấu trúc, Mô phỏng trên ModelSim, Đối tượng dữ liệu, kiểu dữ liệu Thời lượng: 3 tiết bài giảng 2/15 Chương II: Ngôn ngữ VHDL quangkien82@ Mục đích, nội dung 3/15 Chương II: Ngôn ngữ VHDL quangkien82@ Adder 4 bit Một thực thể có bao nhiêu kiến trúc ? Kiến trúc nào được biên dịch vào thư viện Work? Kiến trúc nào sẽ được mô phỏng bằng lệnh Vsim? 4/15 Chương II: Ngôn ngữ VHDL quangkien82@ Configuration ADDER4 = 4 Full_adder Behavioral Dataflow configuration behav of adder4 is for structure -- one of architecture for all: full_adder use entity (behavioral); end for; end for; end configuration; -- select architecture for u0: full_adder use entity (behavioral); for u1: full_adder use entity (behavioral); for u2: full_adder use entity (dataflow); for u3: full_adder use entity (dataflow); ? 5/15 Chương II: Ngôn ngữ VHDL quangkien82@ Configuration 6/15 Chương II: Ngôn ngữ VHDL quangkien82@ Object Types Đối tượng dữ liệu Hằng là những đối tượng dữ liệu dùng khởi tạo để chứa các giá trị xác định trong quá trình thực hiện. Hằng có thể được khai báo trong các gói, thực thể, kiến trúc, chương trình con, các khối và quá trình. Biến là những đối tượng dữ liệu dùng để chứa các kết quả trung gian, biến chỉ có thể được khai báo bên trong các quá trình hoặc chương trình con. Tín hiệu là các đối tượng dữ liệu dùng để kết nối giữa các quá trình (khối logic) hoặc để đồng bộ các quá trình 7/15 Chương II: Ngôn ngữ VHDL quangkien82@ Object Types 8/15 Chương II: Ngôn ngữ VHDL quangkien82@ Data types Name Value Driver Strength ‘U’ Unsolved - 'X' X Strong '0' 0 Strong '1' 1 Strong 'Z' High impedance - 'W' X Weak 'L' 0 Weak 'H' 1 Weak '-' Don’t care - STD_LOGIC_1164 9/15 Chương II: Ngôn ngữ .

TỪ KHÓA LIÊN QUAN
crossorigin="anonymous">
Đã phát hiện trình chặn quảng cáo AdBlock
Trang web này phụ thuộc vào doanh thu từ số lần hiển thị quảng cáo để tồn tại. Vui lòng tắt trình chặn quảng cáo của bạn hoặc tạm dừng tính năng chặn quảng cáo cho trang web này.