tailieunhanh - Giáo trình Thực hành thiết kế logic số: Bài 2

Giáo trình Thực hành thiết kế logic số - Bài 2: Xây dựng bộ cộng trừ trên cơ sở khối cộng bằng toán tử thông qua ví dụ xây dựng khối cộng trừ sử dụng toán tử +, trong bài thực hành này sinh viên tự viết mô tả cho các khối thiết kế, qua đó ôn tập lại các cấu trúc lệnh tuần tự, cách sử dụng tham số tĩnh, cách cài đặt module con, và cuối cùng là cách thức kiểm tra thiết kế. | Khoa Vô tuyến điện tử Bộ môn Kỹ thuật Xung số VXL Thực hành Thiết kế logic số Bài 02 Xây dựng bộ cộng trừ trên cơ sở khối cộng bằng toán tử Mục đích Thông qua ví dụ xây dựng khối cộng trừ sử dụng toán tử trong bài thực hành này sinh viên tự viết mô tả cho các khối thiết kế qua đó ôn tập lại các cấu trúc lệnh tuần tự cách sử dụng tham số tĩnh cách cài đặt module con và cuối cùng là cách thức kiểm tra thiết kế. Công cụ phục vụ thực hành Máy vi tính Thời gian 1h30 1. Khối cộng đơn giản Khối cộng đơn giản thực hiện phép cộng giữa hai số được biểu diễn dưới dạng std_logic_vector hay bit_vector. Các cổng vào gồm hạng tử A B bit nhớ Cin các cổng ra bao gồm tổng Sum và bit nhớ ra Cout Hình Sơ đồ khối bộ cộng Bước 1 Viết mô tả cho khối cộng sử dụng trực tiếp toán tử cộng đầu vào A B và đầu ra Sum có kiểu STD_LOGIC_VECTOR 32 bit Cout và Cin có kiểu STD_LOGIC. Hướng dẫn Khi đó buộc phải khai báo thư viện như sau library IEEE use use use Khối cộng đơn giản có thể viết bằng cú pháp sum a b Lệnh này đặt trực tiếp mô tả kiến trúc dạng mô tả Dataflow . Tuy vậy để có được bit nhớ Cout thì cần bổ xung thêm 1 bit 0 vào các giá trị A B như sau Khai báo các tín hiệu bổ xung trong phần khai báo kiến trúc signal ai std_logic_vector 32 downto 0 Signal Bl std_logic_vector 32 downto 0 Signal Suml std_logic_vector 32 downto 0 Và thực hiện trong phần mô tả kiến trúc như sau Al 0 A Bl 0 B Suml Al Bl Khi đó giá trị Cout là bit cao nhất của Suml Cout Sum1 32 Còn Sum là 32 bit thấp Sum Suml 31 down to 0 Bước 2 Viết module kiểm tra cho bộ cộng vừa viết bằng VHDL thực hiện mô phỏng kiểm tra. Kết quả mô phỏng phải thể hiện được như sau Hình 1 Kết quả mô phỏng bộ cộng Hướng dẫn Sơ đồ kiểm tra nhanh như sau Module kiểm tra nhanh là module không có đầu ra đầu vào nhiệm vụ chính là đặt các giá trị cho các cổng đầu vào của khối kiểm tra. Module được khai báo thực thể là entity test_adder4_gen is end test_adder4_gen

TỪ KHÓA LIÊN QUAN
TÀI LIỆU MỚI ĐĂNG
8    173    0    26-04-2024
10    116    0    26-04-2024
8    85    0    26-04-2024
165    86    0    26-04-2024
crossorigin="anonymous">
Đã phát hiện trình chặn quảng cáo AdBlock
Trang web này phụ thuộc vào doanh thu từ số lần hiển thị quảng cáo để tồn tại. Vui lòng tắt trình chặn quảng cáo của bạn hoặc tạm dừng tính năng chặn quảng cáo cho trang web này.