tailieunhanh - The VHDL Cookbook phần 10

Thời gian cho DP32 chuyển lấy. Trình tự lấy một chuyển từ bộ nhớ tương tự như để lấy lời hướng dẫn. Sự khác biệt duy nhất là thay vì từ đọc được kích hoạt vào xe buýt kết quả và bám vào Sổ đăng ký hướng dẫn, từ đó chỉ đơn giản là latched từ bus bộ nhớ dữ liệu vào các chốt chuyển. Thời gian cho một chuyển lấy được thể hiện trong Figure7-28. | 7. Sample Models The DP32 Processor 7-47 The sequence for fetching a displacement from memory is similar to that for fetching the instruction word. The only difference is that instead of the read word being enabled onto the result bus and latched into the instruction register the word is simply latched from the memory data bus into the displacement latch. The timing for a displacement fetch is shown in Figure7-28. The sequence consists of the processor states disp_fetch_0 disp_fetch_1 and one or more repetitions of disp_fetch_2 corresponding to bus states Ti T1 and T2 respectively. This sequence is always followed by the first execute state corresponding to the bus Ti state at the end of the bus transaction. In the VHDL description the case branches for disp_fetch_0 disp_fetch_1 and disp_fetch_2 implement this behaviour. 7-48 The VHDL Cookbook when execute_0 -- terminate bus read from previous disp_fetch_2 fetch 0 after Tpd read 0 after Tpd -- case opcode is when op_add op_sub op_mul op_div op_addq op_subq op_mulq op_divq op_land op_lor op_lxor op_lmask -- enable r1 onto op1_bus reg_port1_en 1 after Tpd if opcode op_addq or opcode op_subq or opcode op_mulq or opcode op_divq then -- enable i8 onto op2_bus immed_signext_en 1 after Tpd else -- select a2 as port2 address reg_port2_muX_sel 0 after Tpd -- enable r2 onto op2_bus reg_port2_en 1 after Tpd end if -- select ALU operation ALU_op ALU_op_select bits_to_int opcode after Tpd -- wait until phi2 1 -- latch cond codes from ALU CC_latch_en 1 after Tpd -- latch result for reg write reg_res_latch_en 1 after Tpd wait until phi2 0 CC_latch_en 0 after Tpd reg_res_latch_en 0 after Tpd -- next_state fetch_0 -- execution complete write_back_pending true -- register write_back required -- when op_ld op_st op_ldq op_stq -- enable r1 to op1_bus reg_port1_en 1 after Tpd if opcode op_ld or opcode op_st then -- enable displacement to op2_bus disp_out_en 1 after Tpd else -- enable i8 to op2_bus immed_signext_en 1 after Tpd end if .

TỪ KHÓA LIÊN QUAN
crossorigin="anonymous">
Đã phát hiện trình chặn quảng cáo AdBlock
Trang web này phụ thuộc vào doanh thu từ số lần hiển thị quảng cáo để tồn tại. Vui lòng tắt trình chặn quảng cáo của bạn hoặc tạm dừng tính năng chặn quảng cáo cho trang web này.