tailieunhanh - Thiết kế hệ thống xử lý ảnh video trên FPGA (CycloneII), chương 16

Những khai báo package tổng hợp thông tin cần cho một hay nhiều entity trong thiết kế. Thông tin này bao gồm các khai báo kiểu dữ liệu, khai báo tín hiệu, khai báo chương trình con và khai báo component. Chú ý: Những tín hiệu khai báo trong package không thể dùng được cho nhiều entity. Nếu cả hai entity dùng một tín hiệu từ một package được định nghĩa thì mỗi entity phải có một định nghĩa riêng cho tín hiệu đó. *Cú pháp Package package_name is [package_declarative_item] End [package_name]; *Giải thích + Package_name: tên của package +. | Chương 16 Các khai báo package Những khai báo package tong hợp thông tin cần cho một hay nhieu entity trong thiết kế. Thông tin náy bao gôm các khái báo kiếu dữ liếu khai báo tín hiếu khai báo chượng trình con vá khai báo component. Chú ý Những tín hiếu khái báo trong package khong thế dung được cho nhieu entity. Nếu cá hái entity dung mọt tín hiệu từ mọt package được định nghĩa thì moi entity phái co mọt định nghĩa rieng cho tín hiẹu đo. Cú pháp Package package_name is package_declarative_item End package_name Giải thích Package_name ten cua package Package_declarative_item gom một hay nhieu khai báo sau Thuật ngữ use Khai báo type Khai báo subtype Khai báo constant Khai báo signal Khai báo subprogram Khai báo component c. Khối package Thi hánh các chữông trình con được khai báo trong khai báo package. Cú phap Package body package_name is package_body_declarative_item End package_name Giai thích Package_name ten của package đa kết hợp Package_body_declarative_item gom mọt hay nhieủ khai bao sau Thủật ngữ ủse Khai bao type Khai bao sủbtype Khai bao constant Khai bao signal Khai bao sủbprogram Khai bao component 2. Entity Entity bao gom các port vào ra chỉ định của thiết kế. Một mức thiết kế co thế chứa đựng mọt hoặc nhiếủ hơn mọt entity. Moi entity co mOt khai bao architecture riếng CÚ pháp Entity entity_name is generic generic_declararion port port_declaration End entity_name Giái thích Entity_name ten của entity Generic_declárárion định nghĩa những hang cuc bo được dủng cho kích thươc hoạc định thơi của entity Port_declaration xac định con so va kieu cua cac port vao ra. Chung ta co the sử dung khai báo cua entity nay cho entity khác. Mot entity co the giao tiếp với những thiết kế khac bang cach định nghĩa những đạc ta cua entity nay trước khi no tương tac vơi những entity khac. a. Đạc ta Generic Generic Constant _name type value Constant_name type Giai thích Constant_name ten cua generic constant Type kiểu dữ liẹu đa đươc định nghĩa trươc Value gia trị mặc định

crossorigin="anonymous">
Đã phát hiện trình chặn quảng cáo AdBlock
Trang web này phụ thuộc vào doanh thu từ số lần hiển thị quảng cáo để tồn tại. Vui lòng tắt trình chặn quảng cáo của bạn hoặc tạm dừng tính năng chặn quảng cáo cho trang web này.