tailieunhanh - Lecture RTL hardware design - Chapter 13: Hierarchical design

This chapter features the design hierarchy, in which a system is gradually divided into smaller parts. Mechanisms and language constructs of VHDL used to specify and configure a hierarchy are examined. | HIERARCHICAL DESIGN RTL Hardware Design by P. Chu Chapter 13 1 Outline 1. 2. 3. 4. 5. Introduction Components Generics Configuration Other supporting constructs RTL Hardware Design by P. Chu Chapter 13 2 1. Introduction • How to deal with 1M gates or more? • Hierarchical design – Divided-and-conquer strategy – Divide a system into smaller parts RTL Hardware Design by P. Chu Chapter 13 3 RTL Hardware Design by P. Chu Chapter 13 4 Benefits of hierarchical design • Complexity management – Focus on a manageable portion of the system, and analyze, design and verify each module in isolation. – Construct the system in stages by a designer or concurrently by a team of designers. – Help synthesis process RTL Hardware Design by P. Chu Chapter .

TỪ KHÓA LIÊN QUAN
crossorigin="anonymous">
Đã phát hiện trình chặn quảng cáo AdBlock
Trang web này phụ thuộc vào doanh thu từ số lần hiển thị quảng cáo để tồn tại. Vui lòng tắt trình chặn quảng cáo của bạn hoặc tạm dừng tính năng chặn quảng cáo cho trang web này.