tailieunhanh - Bài giảng Thiết kế logic số (VLSI design): Chương 4.3 - Trịnh Quang Kiên

Bài giảng Thiết kế logic số (VLSI design): Chương trình bày về quy trình thiết kế trên FPGA và một số nội dung như: VHDL and Schematic, Synthesis, Synthesis - netlist, Synthesis – Technology Schematic,. . | Thiết kế logic số (VLSI design) Bộ môn KT Xung, số, VXL 06/2010 Quy trình thiết kế trên FPGA ISE (Intergrated Software Enviroment) Quy trình thiết kế trên FPGA Design and implement a simple unit permitting to speed up encryption with RC5-similar cipher with fixed key set on 8031 microcontroller. Unlike in the experiment 5, this time your unit has to be able to perform an encryption algorithm by itself, executing 32 rounds Library IEEE; use ; use ; entity RC5_core is port( clock, reset, encr_decr: in std_logic; data_input: in std_logic_vector(31 downto 0); data_output: out std_logic_vector(31 downto 0); out_full: in std_logic; key_input: in std_logic_vector(31 downto 0); key_read: out std_logic; ); end AES_core; Specification (Lab Experiments) VHDL description (Your Source Files) Functional simulation Post-synthesis simulation Synthesis Quy trình thiết kế trên FPGA Implementation Configuration Timing simulation On chip testing VHDL | Thiết kế logic số (VLSI design) Bộ môn KT Xung, số, VXL 06/2010 Quy trình thiết kế trên FPGA ISE (Intergrated Software Enviroment) Quy trình thiết kế trên FPGA Design and implement a simple unit permitting to speed up encryption with RC5-similar cipher with fixed key set on 8031 microcontroller. Unlike in the experiment 5, this time your unit has to be able to perform an encryption algorithm by itself, executing 32 rounds Library IEEE; use ; use ; entity RC5_core is port( clock, reset, encr_decr: in std_logic; data_input: in std_logic_vector(31 downto 0); data_output: out std_logic_vector(31 downto 0); out_full: in std_logic; key_input: in std_logic_vector(31 downto 0); key_read: out std_logic; ); end AES_core; Specification (Lab Experiments) VHDL description (Your Source Files) Functional simulation Post-synthesis simulation Synthesis Quy trình thiết kế trên FPGA Implementation Configuration Timing simulation On chip testing VHDL and Schematic library IEEE; use ; entity compare_module is Port (value : in std_logic_vector (3 downto 0); res : out std_logic); end compare_module; architecture Behavioral of compare_module is signal std : std_logic_vector (4 downto 0); begin val <= '0' & value; process (val, std) begin sub <= val - std; res <= sub(4); end process; end Behavioral; Technology independent, Easy to handle complex design Easy for Testing HDL Synthesis Synthesis library IEEE; use ; entity compare_module is Port (value : in std_logic_vector (3 downto 0); res : out std_logic); end compare_module; architecture Behavioral of compare_module is signal std : std_logic_vector (4 downto 0); begin val <= '0' & value; process (val, std) begin sub <= val - std; res <= sub(4); end process; end Behavioral; UNISIM Library Synthesis - netlist library IEEE; library IEEE; use ; library UNISIM; use ; use ; entity sp3_led

TỪ KHÓA LIÊN QUAN
TÀI LIỆU MỚI ĐĂNG
crossorigin="anonymous">
Đã phát hiện trình chặn quảng cáo AdBlock
Trang web này phụ thuộc vào doanh thu từ số lần hiển thị quảng cáo để tồn tại. Vui lòng tắt trình chặn quảng cáo của bạn hoặc tạm dừng tính năng chặn quảng cáo cho trang web này.