tailieunhanh - Bài giảng Thiết kế logic số (VLSI design): Chương 2.5 - Trịnh Quang Kiên

Bài giảng Thiết kế logic số (VLSI design) chương trình bày về các phát biểu đồng thời trong ngôn ngữ VHDL. Phát biểu đồng thời dùng mô tả cho mạch dạng cấu trúc hoặc dataflow. bài giảng để biết thêm các nội dung chi tiết. | Thiết kế logic số (VLSI design) Bộ môn KT Xung, số, VXL quangkien82@ 08/2012 Nội dung: Phát biểu đồng thời Thời lượng: 3 tiết bài giảng Chương II: Ngôn ngữ VHDL quangkien82@ 2/18 Mục đích, nội dung VHDL statements 3/18 Chương II: Ngôn ngữ VHDL quangkien82@ 3 Concurrent statements Đn: Phát biểu được thực thi không phụ thuộc vào vị trí xuất hiện trong chương trình. Vị trí: Trực tiếp trong mô tả kiến trúc Ứng dụng: Dùng mô tả cho mạch dạng cấu trúc hoặc dataflow Chương II: Ngôn ngữ VHDL quangkien82@ 4/18 Concurrent statements 1. PROCESS 2. COMPONENT INSTALLATION 3. GENERATE 4. Concurrent Signal Assignment 5/18 Chương II: Ngôn ngữ VHDL quangkien82@ PROCESS Mỗi khối câu lệnh PROCESS thực ra là một khối lệnh tuần tự Khối PROCESS không có danh sách Sensitive list thì bắt buộc phải xuất hiện lệnh WAIT Không giới hạn lệnh PROCESS trong một mô tả kiến trúc 6/18 Chương II: Ngôn ngữ VHDL . | Thiết kế logic số (VLSI design) Bộ môn KT Xung, số, VXL quangkien82@ 08/2012 Nội dung: Phát biểu đồng thời Thời lượng: 3 tiết bài giảng Chương II: Ngôn ngữ VHDL quangkien82@ 2/18 Mục đích, nội dung VHDL statements 3/18 Chương II: Ngôn ngữ VHDL quangkien82@ 3 Concurrent statements Đn: Phát biểu được thực thi không phụ thuộc vào vị trí xuất hiện trong chương trình. Vị trí: Trực tiếp trong mô tả kiến trúc Ứng dụng: Dùng mô tả cho mạch dạng cấu trúc hoặc dataflow Chương II: Ngôn ngữ VHDL quangkien82@ 4/18 Concurrent statements 1. PROCESS 2. COMPONENT INSTALLATION 3. GENERATE 4. Concurrent Signal Assignment 5/18 Chương II: Ngôn ngữ VHDL quangkien82@ PROCESS Mỗi khối câu lệnh PROCESS thực ra là một khối lệnh tuần tự Khối PROCESS không có danh sách Sensitive list thì bắt buộc phải xuất hiện lệnh WAIT Không giới hạn lệnh PROCESS trong một mô tả kiến trúc 6/18 Chương II: Ngôn ngữ VHDL quangkien82@ COMPONENT component_name IS GENERIC (generic_variable_declarations ); PORT (input and_output_variable declarations); END COMPONENT component_name; COMPONENT INSTALLATION instant_name: COMPONENT component_name GENERIC MAP( generic_variables => generic values) PORT MAP (input_and_output_variables => signals); DECLARATION INSTALATION 7/18 Chương II: Ngôn ngữ VHDL quangkien82@ entity counter is generic ( N : natural; top_value : std_logic_vector(15 downto 0); SETTOP : boolean := FALSE ); port ( count :out std_logic_vector(N-1 downto 0); enable :in std_logic; clk :in std_logic; reset :in std_logic); end entity; EXAMPLE: configurable counter 8/18 Chương II: Ngôn ngữ VHDL quangkien82@ -- installation of 4 bit counter counter1: counter generic map (4, x"000a", TRUE) port map (cnt1, enable, clk, reset); counter2: counter generic map (4, x"101a", FALSE) port map (cnt2, enable, clk, reset); counter3: counter generic map (8, x"101b", TRUE) port map (cnt3, enable, .

TỪ KHÓA LIÊN QUAN
TÀI LIỆU MỚI ĐĂNG
crossorigin="anonymous">
Đã phát hiện trình chặn quảng cáo AdBlock
Trang web này phụ thuộc vào doanh thu từ số lần hiển thị quảng cáo để tồn tại. Vui lòng tắt trình chặn quảng cáo của bạn hoặc tạm dừng tính năng chặn quảng cáo cho trang web này.