tailieunhanh - Bài giảng Thiết kế logic số (VLSI design): Chương 2.4 - Trịnh Quang Kiên

Chương của bài giảng Thiết kế logic số (VLSI design) trình bày các phát biểu tuần tự trong ngôn ngữ VHDL. Trong chương này còn có một số bài tập trắc nghiệm về các lệnh tuần tự trong VHDL. . | Thiết kế logic số (VLSI design) Bộ môn KT Xung, số, VXL quangkien82@ 08/2012 Nội dung: Phát biểu tuần tự Thời lượng: 3 tiết bài giảng 2/16 Chương II: Ngôn ngữ VHDL quangkien82@ Mục đích, nội dung VHDL statements 3/16 Chương II: Ngôn ngữ VHDL quangkien82@ Sequential statements Đn: Phát biểu thực thi phụ thuộc vào vị trí xuất hiện trong chương trình. Vị trí: Trong khối quá trình Trong chương trình con Ứng dụng: Dùng mô tả cho mạch tuần tự Dùng mô tả mạch tổ hợp (not recommended) Dùng cho các cấu trúc mô phỏng kiểm tra 4/16 Chương II: Ngôn ngữ VHDL quangkien82@ Sequential statements 1. IF, CASE 2. WAIT, ASSERT, REPORT 3. LOOP 4. Sequential Signal Assignment 5/16 Chương II: Ngôn ngữ VHDL quangkien82@ PROCESS [label]: Process (sensitive list) Begin -- sequential statements End process [label]; SENSITIVE LIST? 6/16 Chương II: Ngôn ngữ VHDL quangkien82@ IF condition1 THEN . | Thiết kế logic số (VLSI design) Bộ môn KT Xung, số, VXL quangkien82@ 08/2012 Nội dung: Phát biểu tuần tự Thời lượng: 3 tiết bài giảng 2/16 Chương II: Ngôn ngữ VHDL quangkien82@ Mục đích, nội dung VHDL statements 3/16 Chương II: Ngôn ngữ VHDL quangkien82@ Sequential statements Đn: Phát biểu thực thi phụ thuộc vào vị trí xuất hiện trong chương trình. Vị trí: Trong khối quá trình Trong chương trình con Ứng dụng: Dùng mô tả cho mạch tuần tự Dùng mô tả mạch tổ hợp (not recommended) Dùng cho các cấu trúc mô phỏng kiểm tra 4/16 Chương II: Ngôn ngữ VHDL quangkien82@ Sequential statements 1. IF, CASE 2. WAIT, ASSERT, REPORT 3. LOOP 4. Sequential Signal Assignment 5/16 Chương II: Ngôn ngữ VHDL quangkien82@ PROCESS [label]: Process (sensitive list) Begin -- sequential statements End process [label]; SENSITIVE LIST? 6/16 Chương II: Ngôn ngữ VHDL quangkien82@ IF condition1 THEN sequence-of-statements ELSIF condition2 THEN [sequence-of-statements ] ELSIF condition3 THEN [sequence-of-statements ] ELSE [sequence-of-statements END IF; Lệnh IF 7/16 Chương II: Ngôn ngữ VHDL quangkien82@ IF Example 1-D-FlipFlop 8/16 Chương II: Ngôn ngữ VHDL quangkien82@ IF Example 2 - Register 9/16 Chương II: Ngôn ngữ VHDL quangkien82@ IF Example 3 - Simple Counter Counter enable? Kd #= 2N 10/16 Chương II: Ngôn ngữ VHDL quangkien82@ CASE expression IS WHEN choice1 => [sequence-of-statements] WHEN choice2 => [sequence-of-statements] . WHEN others => -- optional if all choices covered [sequence-of-statements] END CASE; Lệnh CASE 11/16 Chương II: Ngôn ngữ VHDL quangkien82@ CASE – Example: Multiplexer 12/16 Chương II: Ngôn ngữ VHDL quangkien82@ Trắc nghiệm Câu 1: Điểm đặc trưng nhất của câu lệnh tuần tự trong VHDL Câu lệnh được thực thi một cách tuần tự theo thứ tự xuất hiện Lệnh tuần tự được biên dịch thành mã máy giống như câu lệnh của

TỪ KHÓA LIÊN QUAN
crossorigin="anonymous">
Đã phát hiện trình chặn quảng cáo AdBlock
Trang web này phụ thuộc vào doanh thu từ số lần hiển thị quảng cáo để tồn tại. Vui lòng tắt trình chặn quảng cáo của bạn hoặc tạm dừng tính năng chặn quảng cáo cho trang web này.