tailieunhanh - Bài giảng Thiết kế logic số (VLSI design): Chương 2.1 - Trịnh Quang Kiên

Chương của bài giảng Thiết kế logic số (VLSI design) trình bày về lịch sử phát triển ngôn ngữ VHDL, cấu trúc chương trình VHDL, kiến trúc dạng hành vi và dạng luồng dữ liệu. để nắm bắt các nội dung chi tiết. | Thiết kế logic số (Digital Logic design) Bộ môn KT Xung, số, Vi xử lý 08/2013 Nội dung: Lịch sử phát triển ngôn ngữ VHDL, Cấu trúc chương trình VHDL, Kiến trúc dạng hành vi và dạng luồng dữ liệu Thời lượng: 3 tiết bài giảng. 2/16 quangkien82@ Mục đích, nội dung VHDL VHSIC HDL (Very-High-Speed-Intergrated-Circuit Hardware Description Language) 1981 : Phát triển bởi Bộ Quốc phòng Mỹ. 1983-1985: Được phát triển thành một ngôn ngữ HDL chính thống bởi 3 công ty Intermetrics, IBM and TI. 1986: Chuyển giao toàn bộ bản quyền cho Viện Kỹ thuật Điện và Điện tử (IEEE). 1987: Công bố thành một chuẩn ngôn ngữ IEEE-1076 1987. 2002: Công bố chuẩn VHDL IEEE-1076 2002 2009: Công bố chuẩn VHDL IEEE-1076 2009 3/16 quangkien82@ Lịch sử phát triển của VHDL Tính phổ biến Độc lập với công nghệ. Khả năng mô tả nhiều cấp độ. Khả năng trao đổi, tái sử dụng. 4/16 quangkien82@ Đặc điểm VHDL HDL for Specification HDL for . | Thiết kế logic số (Digital Logic design) Bộ môn KT Xung, số, Vi xử lý 08/2013 Nội dung: Lịch sử phát triển ngôn ngữ VHDL, Cấu trúc chương trình VHDL, Kiến trúc dạng hành vi và dạng luồng dữ liệu Thời lượng: 3 tiết bài giảng. 2/16 quangkien82@ Mục đích, nội dung VHDL VHSIC HDL (Very-High-Speed-Intergrated-Circuit Hardware Description Language) 1981 : Phát triển bởi Bộ Quốc phòng Mỹ. 1983-1985: Được phát triển thành một ngôn ngữ HDL chính thống bởi 3 công ty Intermetrics, IBM and TI. 1986: Chuyển giao toàn bộ bản quyền cho Viện Kỹ thuật Điện và Điện tử (IEEE). 1987: Công bố thành một chuẩn ngôn ngữ IEEE-1076 1987. 2002: Công bố chuẩn VHDL IEEE-1076 2002 2009: Công bố chuẩn VHDL IEEE-1076 2009 3/16 quangkien82@ Lịch sử phát triển của VHDL Tính phổ biến Độc lập với công nghệ. Khả năng mô tả nhiều cấp độ. Khả năng trao đổi, tái sử dụng. 4/16 quangkien82@ Đặc điểm VHDL HDL for Specification HDL for Simulation HDL for Synthesis 5/16 quangkien82@ Các dạng mã nguồn VHDL Cấu trúc chương trình VHDL 6/16 quangkien82@ 0110 0101 0 1011 + 7/16 quangkien82@ VD1– Khối cộng FULL_ADDER A B Cin S Cout 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 8/16 quangkien82@ FULL_ADDER - Dataflow sum = (a xor b) xor Cin; Cout = (a and b) or (Cin and (a xor b)); 9/16 quangkien82@ FULL_ADDER - Dataflow Trắc nghiệm Câu 1: Các thành phần bắt buộc của một thiết kế VHDL là: Khai báo thư viện Khai báo thực thể và mô tả kiến trúc Mô tả kiến trúc và khai báo cấu hình tương ứng Khai báo thư viện, thực thể và mô tả kiến trúc 10/16 quangkien82@ Trắc nghiệm Câu 2: Mô tả kiến trúc dạng nào sau đây thể hiện bản chất mạch thiết kế rõ nhất: Dạng cấu trúc Dạng hành vi Dạng cấu trúc kết hợp hành vi Dạng luồng dữ liệu 11/16 quangkien82@ Trắc nghiệm Câu 3: Phát biểu sau nào sau đây không chính xác: Cổng dạng linkage có thể đóng vai trò .

TỪ KHÓA LIÊN QUAN
crossorigin="anonymous">
Đã phát hiện trình chặn quảng cáo AdBlock
Trang web này phụ thuộc vào doanh thu từ số lần hiển thị quảng cáo để tồn tại. Vui lòng tắt trình chặn quảng cáo của bạn hoặc tạm dừng tính năng chặn quảng cáo cho trang web này.